Home > News > Hardware

Major Changes in Intel Foundry: Understanding the 14A Process Node

Wed, Feb 28 2024 11:05 PM EST

Guided by IDM 2.0 and the goal of "four years, five process nodes," and in response to the immense wave of innovation spurred by the AI era, Intel has announced the launch of Intel Foundry, a system-level foundry tailored for the AI era and designed to be more sustainable.

In addition, Intel has disclosed several new process node roadmaps beyond Intel 18A, along with progress in ecosystem collaborations. These efforts aim to establish and solidify Intel's process technology leadership in the coming years and provide new drivers for expansion and growth in Intel's business. A phased goal of Intel Foundry is to become one of the top two foundries globally by 2030. S2d4278e6-e834-4925-bee7-b37c7cbc6e0a.jpg Positioning and Services of Intel Foundry

Intel Foundry undeniably marks the commencement of a new era for Intel in "system foundry" services, encompassing the entire foundry services from wafer fabrication to testing and advanced packaging.

In addition to wafer lithography technology, Intel Foundry will fully open up its complete ecosystem services to customers, including advanced packaging, chip assembly, and testing. Its services not only revolve around chip manufacturing but also aim to be a "one-stop" supplier for chip production. If customers desire, Intel Foundry will provide comprehensive, even personalized, foundry services.

New Roadmap Extends to 2027

Alongside the announcement of Intel Foundry, a brand-new process node roadmap has emerged. Currently, Intel is steadily progressing with a four-year, five-node roadmap, having previously disclosed the Intel 7, Intel 4, Intel 3, Intel 20A, and Intel 18A nodes.

This latest unveiling presents eight subsequent nodes, in addition to the previously announced Tower 65nm and the 12nm node opened in collaboration with UMC, extending Intel's process node progression to 2027.

The newly announced roadmap includes six advanced processes: Intel 3-T/3-E/3-PT, Intel 18A-P, and Intel 14A/14A-E, as well as two mature processes: Intel 16 and 16-E.

The numerical designations require minimal explanation, while the introduction of P, E, and T evolutions in the roadmap is a novel element. Additionally, Intel 14A, the successor to Intel 18A in the high-performance/high-density track, is a significant new node.

Let's delve into the implications of these new nodes. S9db6693f-2295-4abf-9ba8-978b606b4f02.jpg First up is Intel 14A, which is the "spiritual successor" to Intel 18A, and it will be the first to utilize High-NA EUV lithography, allowing for wafer processing without relying on multi-patterning, ensuring higher yields. Currently, Intel has secured the world's first High-NA scanner.

Next, let's delve into the different evolutions at the same process node, distinguished by suffixes like P, E, and T.

P stands for performance-centric updates, offering 5% to 10% improvement in performance per watt. These nodes deliver better energy efficiency compared to the base nodes.

E denotes feature enhancements, such as support for higher voltages or temperatures, slightly higher performance than the base nodes, generally with less than a 5% improvement in performance per watt.

T indicates the application of 3D Through-Silicon Via (TSV) technology, making it a somewhat specialized version of the node. TSV is an advanced semiconductor packaging and integration technique used to stack multiple chips together for improved performance and density.

Currently, Intel extensively employs 2.5D and 3D packaging technologies in chip packaging. TSV and hybrid bonding are important technologies under Foveros 3D packaging. With TSV and hybrid bonding, Intel can achieve inter-die spacings of less than 10 micrometers, enabling a significant number of chip-to-chip connections within one square millimeter.

With an understanding of these suffix meanings, revisiting the roadmap above gives us a clearer picture of Intel's process technology development in the coming years.

Taking Intel 18A as an example, this node will be formally announced within this year and will have an enhanced 18A-P version for higher performance by 2025. As Intel's first high-volume EUV node, the evolution of Intel 3 is quite rich, including Intel 3-T with TSV technology, Intel 3-E with enhanced features, and eventually evolving to a second TSV-supported version for higher performance design, Intel 3-PT.

It's worth noting that TSV technology is only used in the Intel 3 node. Sedaf6e3b-c194-4935-bc8d-bbd6bc473f1a.jpg The third new element is Intel's introduction of mature process nodes, including the previously unseen Intel 16/16E, Tower Semiconductor's 65nm process, and the recently announced 12nm process node developed in collaboration with UMC.

Specifically, the Intel 16/16E process is actually derived from 22nm and 14nm technologies. Intel 12 is slated for production in 2027 and will be exclusively manufactured at Intel's foundries.

It's also worth noting that Intel recently announced the completion of Intel 18A Clearwater Forest's tape-out. Clearwater Forest is the successor to Sierra Forest, part of the Xeon product line. This product also utilizes base chips fabricated using Intel 3 process technology, packaged using EMIB technology, and interconnected using Foveros Direct (hybrid bonding).

Clearwater Forest will eventually join Panther Lake, a consumer-level product, as Intel's two major 18A process products. Intel also hopes to regain its leading position in process technology by leveraging advanced technologies such as RibbonFET transistors and PowerVia backside power delivery on the Intel 18A node. This is why Intel has the confidence to assert its goal of "returning to the peak on the Intel 18A node." S1d759bd3-f3fd-40f7-92f2-5be6b9cbfe70.jpg

S2e5cb40e-f936-4a50-b37c-ce5f585857e1.jpg Intel's foundry customers are now spread across various process nodes. The diverse evolution of process nodes caters to the needs of different clients. According to official Intel sources, the company currently serves customers at every process node. For instance, at the critical Intel 18A node, Microsoft will utilize Intel's foundry services to produce related chips, aiming to bolster its artificial intelligence acceleration capabilities.

In terms of IP and EDA suppliers, Intel has forged deep partnerships with numerous firms, including Synopsys, Cadence, Siemens, and Ansys. Tools and IPs are readily available to assist customers in accelerating advanced chip designs for the Intel 18A process node with backside power supply solutions. Moreover, Intel's EDA and IP solutions are already deployed across its various process nodes. S8dad54a9-dd91-4dd6-bf5e-e5c0e3e191f6.jpg Additionally, Intel has announced a collaboration with Arm through its Emerging Business Initiative, providing advanced manufacturing services for system-on-chips (SoCs) based on the Arm architecture.

This initiative aims to better support startups in developing Arm-based technologies by offering essential IP, manufacturing support, and financial assistance, presenting a significant opportunity for both Arm and Intel to foster innovation and growth. S456d22d7-1017-4633-b2a6-b5591bb694e9.jpg

Commitments to Sustainability and Environmental Responsibility

As Intel expands its foundry business, it continues to uphold its commitment to sustainability. In 2023, preliminary estimates indicate that 99% of Intel's global factories were powered by renewable energy.

At the Intel Foundry Direct Connect conference, Intel reaffirmed its commitment to achieve 100% renewable energy usage, water neutrality, and zero waste to landfill by 2030.

Additionally, Intel reiterated its pledge to achieve net-zero Scope 1 and Scope 2 greenhouse gas (GHG) emissions by 2040 and upstream net-zero Scope 3 GHG emissions by 2050.

Conclusion

As one of the few chip companies globally with an Integrated Device Manufacturer (IDM) model, Intel possesses mature capabilities in chip design, packaging, validation, and manufacturing. Following the comprehensive opening of its foundry business, Intel has attracted numerous customers over the years. Moreover, with the advent of the AI era, Intel's foundry services will provide reliable chip products to many relevant industries.

However, Intel also faces a highly complex competitive landscape. The IDM heavy asset model necessitates finding breakthroughs to further unleash capacity and value, thereby seeking overall business growth, which is both inevitable in the era's development and crucial for Intel to address the intense competitive environment.

Opening the foundry business to more ecosystem partners, relieving pressure from the heavy asset model, undoubtedly represents a new transformation and breakthrough for Intel. s_a28efd8072fb497192b31e0f56398624.jpg